dseq2 2 2 8 0 0 2 1 0 1 64 1 "mpf7" 0 dgs 2 2 1023 0 0 4 1 6 1 64 5 "1:AXIAL SHIMS:z1:0,z2:0,z3:0,z4:0,z5:0,z6:0,z7:0;" "2:NON AXIAL SHIMS:x1:0,y1:0,xz:0,yz:0,xy:0,x2y2:0,x3:0,y3:0,xz2:0,yz2:0,zxy:0,zx2y2:0,z3x:0,z3y:0,z2x2y2:0,z2xy:0;" "3:NON AXIAL SHIMS:zx3:0,zy3:0,x4:0,y4:0,z4x:0,z4y:0,z3x2y2:0,z3xy:0,z2x3:0,z2y3:0,z3x3:0,z3y3:0,z4x2y2:0,z4xy:0,z5x:0,z5y:0;" "4:AUTOMATION:method,wshim,load,,spin:0,gain:0,alock,loc:0;" "4:SPECIAL:temp;" 0 cr 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 372.738110966 0 at 1 1 14 14 14 2 1 8203 1 64 1 0.180358 0 alock 2 2 8 0 0 2 1 0 1 64 1 "n" 5 "a" "n" "s" "u" "y" Tc 3 1 14 14 14 2 1 8192 1 64 1 0 0 C90lvl 7 1 32767 0 0 2 1 0 1 64 1 55 0 C90 6 1 13 13 13 2 1 8192 1 64 1 17 0 aig 2 2 2 0 0 4 1 1 1 64 1 "ai" 2 "nm" "ai" acqstatus 7 1 32767 0 0 2 1 0 1 64 2 101 6 0 Tn 3 1 14 14 14 2 1 8192 1 64 1 0.014 0 alfa1 3 1 14 14 14 2 1 8192 1 64 1 0 0 alfa 6 1 8190 -8190 0.0125 2 1 2 1 64 1 44.05 0 alfax 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.0001 0 arraydim 7 1 32768 1 1 2 1 5 1 64 1 800 0 array 2 2 256 0 0 2 1 1 1 64 1 "phase" 0 ap 2 2 1023 0 0 4 1 6 1 64 13 "1:SAMPLE:date,solvent,file;" "1:ACQUISITION:sfrq:3,tn:2,at:3,np:0,sw:1,fb:0,bs(bs):0,ss(ss):0,tpwr(tpwr):0,pw:1,p1(p1):1,d1:3,d2(d2):3,tof:1,nt:0,ct:0,alock,gain:0;" "1:FLAGS:il,in,dp,hs;" "1(ni):2D ACQUISITION:sw1:1,ni:0,phase:0;" "1(ni2):3D ACQUISITION:d3:0,sw2:1,ni2:0,phase2:0;" "1:DISPLAY:sp:1,wp:1,vs:0,sc:0,wc:0,hzmm:2,is:2,rfl:1,rfp:1,th:0,ins:3,aig*,dcg*,dmg*;" "1(ni):2D DISPLAY:sp1:1,wp1:1,sc2:0,wc2:0,rfl1:1,rfp1:1;" "2:DEC. & VT:dfrq:3,dn,dpwr:0,dof:1,dm,dmm,dmf,dseq,dres:1,homo,temp(temp):1;" "2(numrfch > 2):DEC2:dfrq2:3,dn2,dpwr2:0,dof2:1,dm2,dmm2,dmf2:0,dseq2,dres2:1,homo2;" "2(numrfch > 3):DEC3:dfrq3:3,dn3,dpwr3:0,dof3:1,dm3,dmm3,dmf3:0,dseq3,dres3:1,homo3;" "2:PROCESSING:cf(nf):0,lb(lb):2,sb(sb):3,sbs(sb):3,gf(gf):3,gfs(gf):3,awc(awc):3,lsfid(lsfid):0,phfid(phfid):1,wtfile,proc,fn:0,math,,werr,wexp,wbs,wnt;" "2(ni):2D PROCESSING:lb1(lb1):3,sb1(sb1):3,sbs1(sb1):3,gf1(gf1):3,gfs1(gf1):3,awc1(awc1):3,wtfile1,proc1,fn1:0;" "2(ni2):3D PROCESSING:lb2(lb2):2,sb2(sb2):3,sbs2(sb2):3,gf2(gf2):3,gfs2(gf2):3,awc2(awc2):3,wtfile2,proc2,fn2:0;" 0 arrayelemts 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2 0 ch4 2 2 8 0 0 2 1 0 1 64 1 "y" 0 axisf 4 2 4 0 0 4 1 0 1 64 1 "s" 4 "m" "n" "s" "u" awc1 1 1 1 -1 0.001 3 1 1 0 64 1 0 0 awc 1 1 1 -1 0.001 3 1 1 0 64 1 0 0 axis 4 2 4 0 0 4 1 0 1 64 1 "pp" 11 "c" "d" "1" "2" "3" "h" "k" "m" "n" "p" "u" celem 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 800 0 bs 7 1 32767 0 1 2 1 0 0 64 1 32 0 cf 7 1 32767 0 1 3 1 1 0 64 1 1 0 console 2 2 8 0 0 2 1 0 1 64 1 "inova" 0 conc 2 2 8 0 0 2 1 0 1 64 1 "1.0mM" 0 cp 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" dfrq2 1 1 1000000000 0 0 2 1 9 1 64 1 125.6557282 0 d2 3 1 14 14 14 2 1 8194 1 64 1 0 0 cutoff 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 0 64 1 200 0 crf 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 cr1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 1582.40112317 0 ct 7 1 1000000000 0 1 2 1 6 1 64 1 4 0 d1 3 1 14 14 14 2 1 8194 1 64 1 1 0 delta 1 1 2000000 0 0 4 1 1 1 64 1 1330.41520424 0 dcg 2 2 3 0 0 4 1 1 1 64 1 "" 2 "dc" "cdc" date 2 2 9 0 0 2 1 1 1 64 1 "Jan 31 2002" 0 deltaf 1 1 100 0 0 4 1 0 1 64 1 0.021650879567 0 delta1 1 1 2000000 0 0 4 1 3 1 64 1 3253.09547084 0 dfrq 5 1 1000000000 -1000000000 0 2 1 8 1 64 1 50.6403538 0 dg2 2 2 8 0 0 4 1 4 1 64 6 "1:1st DECOUPLING:dfrq:3,dn,dpwr:0,dof:1,dm,dmm,dmf:0,dseq,dres:1,homo;" "2(numrfch>2):2nd DECOUPLING:dfrq2:3,dn2,dpwr2:0,dof2:1,dm2,dmm2,dmf2:0,dseq2,dres2:1,homo2;" "2(numrfch>3):3rd DECOUPLING:dfrq3:3,dn3,dpwr3:0,dof3:1,dseq3,dres3:1,homo3;" "3(ni2):3D ACQUISITION:d3:3,sw2:1,ni2:0,phase2:0;" "3(ni2):3D DISPLAY:rp2:1,lp2:1;" "4(ni2):3D PROCESSING:lb2:3,sb2:3,sbs2(sb2):3,gf2:3,gfs2(gf2):3,awc2:3,wtfile2,proc2,fn2:0;" 0 dg 2 2 1023 0 0 4 1 6 1 64 1 "1:SAMPLE:sample,conc,pH,buffer,date,temp,gain,file:0;1:ACQUISITION:sfrq:3,tn,at:3,np:0,sw:1,fb:0,bs:0,ss:0,tpwr(tpwr):0,pw:1,p1:1,d1:3,d2:3;1:DELAYS:tauCH:4,taufocCH:4,Tc:4,alfax:6;2:N15 CHANNEL 2:dfrq:8,dn:0,pwNlvl:0,pwN:1,dof:1;2:DECOUPLING:dm,dmm,dmf,dpwr(dpwr),dseq:0,homo;2: CARBON CHANNEL 3:dfrq2:8,dn2:0,C90lvl:0,C90:1,dmf2:0,dpwr2:0,dof2:1;3(ni):2D ACQUISITION:sw1:1,ni:0,phase:0;3:PROCESSING:cf(nf):0,lb:2,sb:3,sbs(sb):3,gf:3,gfs(gf):3,awc:3,fn:0;4:GRADIENTS:gzlvl1:0,gt1:5,recover:5,quant1:3,gzlvl2,gzlvl3:0,gzt2,gzt3:5,se:0;4:COEFFICIENTS:f1f2:0;" 0 dfrq3 1 1 1000000000 0 0 2 1 9 1 64 1 125.6727905 0 dg1 2 2 1023 0 0 4 1 6 1 64 1 "1:DISPLAY:sp:1,wp:1,vs:0;1:REFERENCE:rfl:1,rfp:1,cr:1,delta:1;1:PHASE:lp:1,rp:1,rp1(ni):1,lp1(ni):1;2:CHART:sc:0,wc:0,hzmm:2,vp:0,axis,pltmod,,th:0,,ho:2,vo:2,,trace:2;3(ni):2D:sp1:1,wp1:1,sc2:0,wc2:0,rfl1:1,rfp1:1;3:FLAGS:aig*,dcg*,dmg*;3:FID:sf:3,wf:3,vf:0;4:INTEGRAL:intmod,is:2,ins:3,io:0,,lvl:3,tlt:3;" 0 dglp 2 2 8 0 0 4 1 7 1 64 1 "1(lpfilt):LP:lpalg,lpopt,lpfilt:0,lpnupts:0,strtlp:0,lpext:0,strtext:0;2(lpfilt1):LP 1:lpalg1,lpopt1,lpfilt1:0,lpnupts1:0,strtlp1:0,lpext1:0,strtext1:0;3(lpfilt2):LP 2:lpalg2,lpopt2,lpfilt2:0,lpnupts2:0,strtlp2:0,lpext2:0,strtext2:0;4:LP OUTPUT:lpprint(lpfilt):0,lptrace(lpfilt):0,lpprint1(lpfilt1):0,lptrace1(lpfilt1):0,lpprint2(lpfilt2):0,lptrace2(lpfilt2):0;" 0 dofb 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -7210 0 dmg1 2 2 8 0 0 4 1 0 1 64 1 "" 4 "" "av1" "ph1" "pwr1" dmf3 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dm2 4 2 4 0 0 2 1 0 1 64 1 "ny" 4 "a" "n" "s" "y" dlp 7 1 10 10 10 2 1 8194 0 64 1 10 0 dhp 1 1 9 9 9 2 1 8192 0 64 1 50 0 dm 4 2 4 0 0 2 1 0 1 64 1 "nn" 4 "a" "n" "s" "y" dmf 7 1 11 11 11 2 1 8194 1 64 1 3125 0 dm3 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" dmf2 7 1 2000000 1 0 2 1 2 1 64 1 10422 0 dmf_t1 7 1 32767 0 0 2 1 0 1 64 1 3125 0 dmf3_t1 7 1 32767 0 0 2 1 0 1 64 1 545 0 dmg 2 2 2 0 0 4 1 3 1 64 1 "ph" 0 dn2 2 2 8 0 0 2 1 8 1 64 1 "C13" 0 dmm3 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm2 4 2 4 0 0 2 1 0 1 64 1 "cp" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm 4 2 4 0 0 2 1 0 1 64 1 "cp" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dn 2 2 4 0 0 2 1 8 1 64 1 "N15" 0 dof 5 1 8 8 8 2 1 8202 1 64 1 800 0 dn3 2 2 8 0 0 2 1 8 1 64 1 "C13" 0 dod 3 1 14 14 14 2 1 8194 1 64 1 0.00999999977648 0 dof3 5 1 20 20 20 2 1 8202 1 64 1 10150 0 dof2 5 1 16 16 16 2 1 8202 1 64 1 -6912.25712042 0 dofa 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -4851 0 dpwr_t1 7 1 32767 0 0 2 1 0 1 64 1 40 0 dpwr 7 1 9 9 9 2 1 8192 1 64 1 40 0 dotflag 2 2 8 0 0 4 1 0 1 64 1 "n" 2 "n" "y" dofc 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2650 0 dp 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" dpwr3 7 1 21 21 21 2 1 8194 1 64 1 1 0 dpwr2 7 1 18 18 18 2 1 8194 1 64 1 39 0 dpwr3_t1 7 1 32767 0 0 2 1 0 1 64 1 37 0 dres3_t1 7 1 32767 0 0 2 1 0 1 64 1 1 0 dres2 7 1 360 1 0 2 1 0 1 64 1 1 0 dres 7 1 360 1 0 2 1 0 1 64 1 1 0 dres3 7 1 360 1 0 2 1 0 1 64 1 1 0 dseq 2 2 8 0 0 2 1 0 1 64 1 "garp1" 0 dres_t1 7 1 32767 0 0 2 1 0 1 64 1 1 0 pwNlvl 7 1 32767 0 0 2 1 0 1 64 1 57 0 lpnupts 7 1 1000000 1 1 3 1 0 1 64 1 64 0 intmod 2 2 8 0 0 4 1 0 1 64 1 "off" 3 "off" "partial" "full" gzlvl1 7 1 32767 0 0 2 1 0 1 64 1 29000 0 fpmult 1 1 1000000000 0 0 3 1 0 1 64 1 0.5 0 f1coef 2 2 8 0 0 3 1 1 1 64 1 "" 0 dseq_t1 2 2 8 0 0 2 1 0 1 64 1 "garp1" 0 dseq3_t1 2 2 8 0 0 2 1 0 1 64 1 "sinx" 0 dseq3 2 2 8 0 0 2 1 0 1 64 1 "" 0 exppath 2 2 8 0 0 2 1 0 1 64 1 "/export/home/vnmr1/vnmrsys/exp7002/acqfil" 0 file 2 2 6 0 0 2 1 3 1 64 1 "exp" 0 f1f2 2 2 8 0 0 2 1 0 1 64 1 ":wft2d(1,0,1,0,0,-1,0,1)" 0 fb 7 1 6 6 6 2 1 8203 1 64 1 3000 0 fn 7 1 524288 64 -2 3 1 1 1 64 1 2048 0 filter 7 1 1000000000 0 1 2 1 2 1 64 1 54.0999984741 0 fn1 7 1 524288 64 -2 3 1 1 1 64 1 2048 0 gf1 1 1 100000 0 0 3 1 1 1 64 1 0.015 0 gain 1 1 60 0 2 2 1 0 1 64 1 40 0 fpmult1 1 1 1000000000 0 0 3 1 0 0 64 1 0 0 gf 1 1 100000 0 0 3 1 1 1 64 1 0.0799685120583 0 gfs1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 gfs 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 gt1 3 1 14 14 14 2 1 8192 1 64 1 0.0005 0 homo2 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" gzt3 3 1 14 14 14 2 1 8192 1 64 1 0.0002 0 gzt1 3 1 14 14 14 2 1 8192 1 64 1 0.0005 0 gzlvl3 7 1 32767 0 0 2 1 0 1 64 1 14000 0 gzlvl2 7 1 32767 0 0 2 1 0 1 64 1 14000 0 gzt2 3 1 14 14 14 2 1 8192 1 64 1 0.0002 0 ho 1 1 500 -500 0 4 1 1 1 64 1 -13.9379084967 0 homo 2 2 8 0 0 2 1 0 1 64 1 "n" 2 "n" "y" in 4 2 1 0 0 2 1 0 1 64 1 "n" 3 "n" "w" "y" hs 4 2 4 0 0 2 1 0 1 64 1 "nn" 2 "n" "y" homo3 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" hzmm 1 1 1000000000 -1000000000 0 4 1 11 1 64 1 42.3090144644 0 hst 3 1 0.02 0 2.5e-08 2 1 2 1 64 1 0.00800000037998 0 il 2 2 1 0 0 2 1 0 1 64 1 "n" 2 "y" "n" ins2ref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 ins2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 ins 3 1 8190 0 1e-07 4 1 3 1 64 1 1 0 insref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 lockphase_ 1 1 360 0 1 2 1 0 1 64 1 160 0 liamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 j 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 92 0 irrfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 io 1 1 500 -500 0.1 4 1 1 1 64 1 10 0 is 1 1 1000000000 1e-06 0 4 1 3 1 64 1 500 0 lb1 1 1 100000 -100000 0 3 1 1 0 64 1 569.122116015 0 lb 1 1 100000 -100000 0 3 1 1 0 64 1 20 0 lcpeak_ 7 1 32767 0 0 2 1 0 1 64 1 0 0 load 2 2 4 0 0 2 1 3 1 64 1 "n" 2 "y" "n" llamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 lifrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 llfrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 lockfreq_ 5 1 160 0 1e-07 2 1 9 1 64 1 76.708 0 loc_ 7 1 12 12 12 2 1 8201 0 64 1 0 0 lockgain_ 1 1 48 0 1 2 1 0 1 64 1 44 0 lpext1 7 1 1000000 0 1 3 1 0 1 64 1 94 0 lpalg 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lp 1 1 3600 -3600 0.1 4 1 3 1 64 1 107.320426934 0 lockpower_ 1 1 68 0 1 2 1 0 1 64 1 39 0 lp1 1 1 3600 -3600 0.1 4 1 3 1 64 1 -97.8792822186 0 lpalg2 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lpalg1 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lpext 7 1 1000000 0 1 3 1 0 1 64 1 1 0 lpfilt1 7 1 32767 1 1 3 1 0 1 64 1 8 0 lpfilt 7 1 32767 1 1 3 1 0 1 64 1 32 0 lpext2 7 1 1000000 0 1 3 1 0 1 64 1 1 0 lpfilt2 7 1 32767 1 1 3 1 0 1 64 1 32 0 parversion 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 4 1 64 1 5.1 0 macro 2 2 6 0 0 3 1 3 1 64 1 "" 0 lpprint2 7 1 63 0 1 3 1 0 1 64 1 0 0 lpopt1 2 2 8 0 0 3 1 0 1 64 1 "f" 0 lpnupts2 7 1 1000000 1 1 3 1 0 1 64 1 64 0 lpnupts1 7 1 1000000 1 1 3 1 0 1 64 1 33 0 lpopt 2 2 8 0 0 3 1 0 1 64 1 "b" 0 lpprint 7 1 63 0 1 3 1 0 1 64 1 0 0 lpopt2 2 2 8 0 0 3 1 0 1 64 1 "b" 0 lpprint1 7 1 63 0 1 3 1 0 1 64 1 0 0 lsfid 7 1 64000 -64000 1 3 1 0 0 64 1 0 0 lptrace1 7 1 32767 0 1 3 1 0 1 64 1 0 0 lptrace 7 1 32767 0 1 3 1 0 1 64 1 0 0 lptrace2 7 1 32767 0 1 3 1 0 1 64 1 0 0 lsfrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 lsfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 lvl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 -21.0423624895 0 ni 7 1 32767 0 0 2 1 0 1 64 1 400 0 n1 2 2 6 0 0 3 1 3 1 64 1 "" 0 method 2 2 6 0 0 2 1 3 1 64 1 "/vnmr/shimmethods/allzs" 0 math 2 2 1 0 0 3 1 3 1 64 1 "i" 3 "d" "f" "i" mq 2 2 8 0 0 2 1 0 1 64 1 "" 0 n3 2 2 6 0 0 3 1 3 1 64 1 "" 0 n2 2 2 6 0 0 3 1 3 1 64 1 "" 0 p1 6 1 13 13 13 2 1 8194 1 64 1 0 0 np 7 1 524288 32 2 2 1 11 1 64 1 1984 0 nimax 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 80 0 nt 7 1 1000000000 1 1 2 1 2 1 64 1 4 0 pad 3 1 14 14 14 2 1 8194 1 64 1 0.5 0 pH 2 2 8 0 0 2 1 0 1 64 1 "6.0" 0 parstyle_ 2 2 8 0 0 4 1 0 1 64 1 "ppa" 0 priority 7 1 32768 0 1 2 1 0 1 64 1 5 0 pkpick_ 2 2 8 0 0 4 1 0 1 64 1 "" 0 phfid 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 phase 7 1 32767 0 0 2 1 0 1 64 2 1 2 0 phfid1 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 pmode 2 2 8 0 0 3 1 0 1 64 1 "full" 0 pltmod 2 2 8 0 0 4 1 0 1 64 1 "off" 5 "off" "fixed" "full" "variable" "user" presat 3 1 14 14 14 2 1 8192 1 64 1 0 0 pslabel 2 2 8 0 0 2 1 11 1 64 1 "pfg_HSQC_CH_se1" 0 proc 2 2 10 0 0 3 1 1 1 64 1 "ft" 0 probe_ 2 2 8 0 0 2 1 8 1 64 1 "3047" 0 proc1 2 2 10 0 0 3 2 1 1 64 1 "ft" 0 pw90 6 1 13 13 13 2 1 8194 1 64 1 11.0999984741 0 pw 6 1 13 13 13 2 1 8194 1 64 1 4.55 0 pwN 6 1 13 13 13 2 1 8192 1 64 1 39 0 vloc_ 2 2 8 0 0 2 1 8 1 64 1 "A0" 0 solvent 2 2 6 0 0 2 1 11 1 64 1 "d2o" 0 rfp 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 2386.84675078 0 reffrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 499.708757561 0 r4 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r2 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 -2.25395524467e-08 0 r1 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 10000.0003106 0 quant1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4 0 r3 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 recover 3 1 14 14 14 2 1 8192 1 64 1 0.0002 0 r6 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r5 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r7 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 reffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 499.70424676 0 rfband 4 2 4 0 0 2 1 0 1 64 1 "cccc" 3 "c" "h" "l" refpos1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 13.8304093837 0 refpos 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 refsource1 2 2 8 0 0 4 1 0 1 64 1 "sfrq" 0 rfl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 2750.08594018 0 rfl1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 5000 0 sb1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sample 2 2 8 0 0 2 1 0 1 64 1 "13C_15N_BPTI" 0 rp 1 1 3600 -3600 0.1 4 1 3 1 64 1 67.250996972 0 rof1 6 1 13 13 13 2 1 8194 1 64 1 10 0 rfp1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 5344.80522282 0 rof2 6 1 13 13 13 2 1 8194 1 64 1 20 0 rp1 1 1 3600 -3600 0.1 4 1 3 1 64 1 54.1226394939 0 saveglobal_ 2 2 8 0 0 3 1 0 1 64 15 "probe" "lcpeak" "loc" "lockpower" "lockgain" "lockphase" "lockfreq" "z0" "lkof" "vloc" "vrack" "vzone" "vproto" "pkpick" "parstyle" 0 satpwr 7 1 32767 0 0 2 1 0 1 64 1 0 0 sb 1 1 1000 -1000 0.001 3 1 0 0 64 1 0 0 se 2 2 8 0 0 2 1 0 1 64 1 "n" 0 sc2 1 1 3 3 3 4 1 8195 1 64 1 0 0 sbs1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sbs 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sc 1 1 1 1 1 4 1 8195 1 64 1 0 0 scalesw1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3.9766915522 0 sf 1 1 8190 0 1e-07 4 1 3 1 64 1 0 0 sense 2 2 8 0 0 2 1 0 1 64 1 "" 0 seqfil 2 2 8 0 0 2 1 11 1 64 1 "pfg_HSQC_CH_se1" 0 sfrq 1 1 1000000000 0 0 2 1 11 1 64 1 499.7065759 0 sf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 sn 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 sw 1 1 5 5 5 2 1 8203 1 64 1 5500.17188037 0 ssorder 7 1 20 1 1 3 1 0 0 64 1 7 0 ss 7 1 32767 -32768 0 2 1 0 1 64 1 32 0 sp1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 598.959670523 0 sp 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 -175.061265829 0 spin 1 1 32000000 0 0.1 2 1 0 0 64 1 0 0 sslsfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 ssfilter 1 1 50000 10 0.1 3 1 0 1 64 1 100 0 ssntaps 7 1 2500 0 1 3 1 0 1 64 1 121 0 strtlp 7 1 1000000 1 1 3 1 0 1 64 1 2 0 strtext1 7 1 1000000 1 1 3 1 0 1 64 1 34 0 strtext 7 1 1000000 1 1 3 1 0 1 64 1 1 0 strtext2 7 1 1000000 1 1 3 1 0 1 64 1 1 0 strtlp2 7 1 1000000 1 1 3 1 0 1 64 1 2 0 strtlp1 7 1 1000000 1 1 3 1 0 1 64 1 33 0 th2d 1 1 1 1e-18 0 4 1 17 1 64 1 0.8 0 taufocCH 3 1 14 14 14 2 1 8192 1 64 1 0.0024 0 tauCH 3 1 14 14 14 2 1 8192 1 64 1 0.0017 0 sw1 1 1 5000000 1 -1.25e-08 2 1 0 1 64 1 10000 0 tauNH 3 1 14 14 14 2 1 8192 1 64 1 0.0016 0 temp 1 1 200 -150 0.1 2 1 8 1 64 1 20 0 taufocNH 3 1 14 14 14 2 1 8192 1 64 1 0.0054 0 th 1 1 1000000000 0 0 4 1 1 1 64 1 5 0 tof 5 1 7 7 7 2 1 8202 1 64 1 -167 0 tlt 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 -7.42074276786 0 tin 2 2 1 0 0 2 1 2 1 64 1 "n" 3 "n" "w" "y" tn 2 2 4 0 0 2 1 8 1 64 1 "H1" 0 trace 2 2 2 0 0 4 1 3 1 64 1 "f1" 2 "f1" "f2" tpwr 7 1 17 17 17 2 1 8194 1 64 1 56 0 vf 1 1 1000000000 0 0 4 1 3 1 64 1 17168675324.4 0 xy 7 1 19 19 19 2 1 8192 1 64 1 -1412 0 wc2 1 1 780 100 1 4 1 3 1 64 1 130 0 vs 1 1 1000000000 1e-06 0 4 1 3 1 64 1 2306.07104812 0 vpfi 1 1 500 -500 0 4 1 0 1 64 1 0 0 vp 7 1 500 -500 0.1 4 1 3 1 64 1 0 0 vo 1 1 500 -500 0 4 1 1 1 64 1 0 0 vpf 1 1 500 -500 0 4 1 0 1 64 1 0 0 vproto_ 2 2 8 0 0 2 1 0 1 64 1 "" 0 vrack_ 7 1 5 1 0 2 1 0 1 64 1 1 0 vtwait 3 1 14 14 14 2 1 8194 1 64 1 300 0 vsproj 1 1 1000000000 1e-06 0 4 1 3 1 64 1 33922.4163854 0 vs2d 1 1 1000000000 1e-06 0 4 1 3 1 64 1 4385.36231486 0 vtc 1 1 255 0 1 2 1 2 1 64 1 25 0 wbs 2 2 6 0 0 3 1 3 1 64 1 "" 0 vzone_ 7 1 15 1 0 2 1 0 1 64 1 1 0 wc 1 1 2 2 2 4 1 8203 1 64 1 130 0 wshim 4 2 4 0 0 2 1 1 1 64 1 "n" 15 "e" "f" "g" "n" "s" "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" wf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0.0256 0 wexp 2 2 6 0 0 3 1 3 1 64 1 "wft" 0 werr 2 2 6 0 0 3 1 3 1 64 1 "" 0 wf 1 1 8190 0 1e-07 4 1 3 1 64 1 0.372352 0 wp 1 1 5000000 0 0 4 1 11 1 64 1 1327.9984892 0 wnt 2 2 6 0 0 3 1 3 1 64 1 "" 0 wp1 1 1 100000 0 0 4 1 3 1 64 1 3245.35679374 0 x2y2 7 1 19 19 19 2 1 8192 1 64 1 392 0 wtfile1 2 2 15 0 0 3 2 1 1 64 1 "" 0 wtfile 2 2 15 0 0 3 1 1 1 64 1 "" 0 x1 7 1 19 19 19 2 1 8192 1 64 1 5758 0 x4 7 1 19 19 19 2 1 8192 1 64 1 -2610 0 x3 7 1 19 19 19 2 1 8192 1 64 1 -4754 0 xdiag 1 1 1e+18 0 0 4 1 17 1 64 1 0 0 z3y 7 1 19 19 19 2 1 8192 1 64 1 -13412 0 z2xy 7 1 19 19 19 2 1 8192 1 64 1 -4348 0 yz2 7 1 19 19 19 2 1 8192 1 64 1 13691 0 y3 7 1 19 19 19 2 1 8192 1 64 1 -5969 0 xz2 7 1 19 19 19 2 1 8192 1 64 1 23442 0 xz 7 1 19 19 19 2 1 8192 1 64 1 3037 0 y1 7 1 19 19 19 2 1 8192 1 64 1 4977 0 yz 7 1 19 19 19 2 1 8192 1 64 1 1186 0 y4 7 1 19 19 19 2 1 8192 1 64 1 2554 0 z2 7 1 19 19 19 2 1 8192 1 64 1 -2472 0 z1 7 1 19 19 19 2 1 8192 1 64 1 -5006 0 z0_ 1 1 19 19 19 2 1 8192 1 64 1 -10684 0 z1c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2x2y2 7 1 19 19 19 2 1 8192 1 64 1 2566 0 z2c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2x3 7 1 19 19 19 2 1 8192 1 64 1 3909 0 z3x 7 1 19 19 19 2 1 8192 1 64 1 -11246 0 z3 7 1 19 19 19 2 1 8192 1 64 1 -9658 0 z2y3 7 1 19 19 19 2 1 8192 1 64 1 10976 0 z3c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3x3 7 1 19 19 19 2 1 8192 1 64 1 2067 0 z3x2y2 7 1 19 19 19 2 1 8192 1 64 1 -5481 0 z3xy 7 1 19 19 19 2 1 8192 1 64 1 -1208 0 z5 7 1 19 19 19 2 1 8192 1 64 1 9586 0 z4x 7 1 19 19 19 2 1 8192 1 64 1 -3891 0 z4 7 1 19 19 19 2 1 8192 1 64 1 -17776 0 z3y3 7 1 19 19 19 2 1 8192 1 64 1 -1838 0 z4c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4xy 7 1 19 19 19 2 1 8192 1 64 1 4346 0 z4x2y2 7 1 19 19 19 2 1 8192 1 64 1 -469 0 z4y 7 1 19 19 19 2 1 8192 1 64 1 -7021 0 z7 7 1 19 19 19 2 1 8192 1 64 1 0 0 z5y 7 1 19 19 19 2 1 8192 1 64 1 -6952 0 z5x 7 1 19 19 19 2 1 8192 1 64 1 -18245 0 z6 7 1 19 19 19 2 1 8192 1 64 1 -5324 0 zx2y2 7 1 19 19 19 2 1 8192 1 64 1 -8886 0 zfilt1 3 1 14 14 14 2 1 8192 1 64 1 0.0002 0 z8 7 1 19 19 19 2 1 8192 1 64 1 0 0 zxy 7 1 19 19 19 2 1 8192 1 64 1 -1215 0 zx3 7 1 19 19 19 2 1 8192 1 64 1 2605 0 zy3 7 1 19 19 19 2 1 8192 1 64 1 11797 0