fpmult 1 1 1000000000 0 0 3 1 0 1 64 1 0.5 0 dglp 2 2 8 0 0 4 1 7 1 64 1 "1(lpfilt):LP:lpalg,lpopt,lpfilt:0,lpnupts:0,strtlp:0,lpext:0,strtext:0;2(lpfilt1):LP 1:lpalg1,lpopt1,lpfilt1:0,lpnupts1:0,strtlp1:0,lpext1:0,strtext1:0;3(lpfilt2):LP 2:lpalg2,lpopt2,lpfilt2:0,lpnupts2:0,strtlp2:0,lpext2:0,strtext2:0;4:LP OUTPUT:lpprint(lpfilt):0,lptrace(lpfilt):0,lpprint1(lpfilt1):0,lptrace1(lpfilt1):0,lpprint2(lpfilt2):0,lptrace2(lpfilt2):0;" 0 cp 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" awc1 1 1 1 -1 0.001 3 1 1 0 64 1 0 0 array 2 2 256 0 0 2 1 1 1 64 1 "phase" 0 acqcycles 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 216 0 C90lvl 7 1 32767 0 0 2 1 0 1 64 1 55 0 C90 6 1 13 13 13 2 1 8192 1 64 1 16 0 Tn 3 1 14 14 14 2 1 8192 1 64 1 0.0105 0 aig 2 2 2 0 0 4 1 1 1 64 1 "ai" 2 "nm" "ai" acqstatus 7 1 32767 0 0 2 1 0 1 64 2 101 7 0 acqdim 7 1 1000000000 -1000000000 0 2 1 0 1 64 1 2 0 alock 2 2 8 0 0 2 1 0 1 64 1 "n" 5 "a" "n" "s" "u" "y" alfa 6 1 13 13 13 2 1 8194 1 64 1 0 0 ap 2 2 1023 0 0 4 1 6 1 64 13 "1:SAMPLE:date,solvent,file;" "1:ACQUISITION:sfrq:3,tn:2,at:3,np:0,sw:1,fb:0,bs(bs):0,ss(ss):0,tpwr(tpwr):0,pw:1,p1(p1):1,d1:3,d2(d2):3,tof:1,nt:0,ct:0,alock,gain:0;" "1:FLAGS:il,in,dp,hs;" "1(ni):2D ACQUISITION:sw1:1,ni:0,phase:0;" "1(ni2):3D ACQUISITION:d3:0,sw2:1,ni2:0,phase2:0;" "1:DISPLAY:sp:1,wp:1,vs:0,sc:0,wc:0,hzmm:2,is:2,rfl:1,rfp:1,th:0,ins:3,aig*,dcg*,dmg*;" "1(ni):2D DISPLAY:sp1:1,wp1:1,sc2:0,wc2:0,rfl1:1,rfp1:1;" "2:DEC. & VT:dfrq:3,dn,dpwr:0,dof:1,dm,dmm,dmf,dseq,dres:1,homo,temp(temp):1;" "2(numrfch > 2):DEC2:dfrq2:3,dn2,dpwr2:0,dof2:1,dm2,dmm2,dmf2:0,dseq2,dres2:1,homo2;" "2(numrfch > 3):DEC3:dfrq3:3,dn3,dpwr3:0,dof3:1,dm3,dmm3,dmf3:0,dseq3,dres3:1,homo3;" "2:PROCESSING:cf(nf):0,lb(lb):2,sb(sb):3,sbs(sb):3,gf(gf):3,gfs(gf):3,awc(awc):3,lsfid(lsfid):0,phfid(phfid):1,wtfile,proc,fn:0,math,,werr,wexp,wbs,wnt;" "2(ni):2D PROCESSING:lb1(lb1):3,sb1(sb1):3,sbs1(sb1):3,gf1(gf1):3,gfs1(gf1):3,awc1(awc1):3,wtfile1,proc1,fn1:0;" "2(ni2):3D PROCESSING:lb2(lb2):2,sb2(sb2):3,sbs2(sb2):3,gf2(gf2):3,gfs2(gf2):3,awc2(awc2):3,wtfile2,proc2,fn2:0;" 0 at 1 1 14 14 14 2 1 8203 1 64 1 0.186176 0 arrayelemts 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2 0 arraydim 7 1 32768 1 1 2 1 5 1 64 1 216 0 awc 1 1 1 -1 0.001 3 1 1 0 64 1 0 0 celem 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 216 0 bs 7 1 32767 0 1 2 1 0 1 64 1 4 0 axisf 4 2 4 0 0 4 1 0 1 64 1 "s" 4 "m" "n" "s" "u" axis 4 2 4 0 0 4 1 0 1 64 1 "pp" 11 "c" "d" "1" "2" "3" "h" "k" "m" "n" "p" "u" buffer 2 2 8 0 0 2 1 0 1 64 1 "" 0 conc 2 2 8 0 0 2 1 0 1 64 1 "1.3mM" 0 cf 7 1 32767 0 1 3 1 1 0 64 1 1 0 console 2 2 8 0 0 2 1 0 1 64 1 "inova" 0 dfrq 5 1 1000000000 -1000000000 0 2 1 8 1 64 1 50.6405538 0 d1 3 1 14 14 14 2 1 8194 1 64 1 1 0 ct 7 1 1000000000 0 1 2 1 6 1 64 1 96 0 cr1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 6205.44437785 0 cr 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 4648.6502837 0 crf 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 cutoff 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 0 64 1 200 0 dcg 2 2 3 0 0 4 1 1 1 64 1 "" 2 "dc" "cdc" d2 3 1 14 14 14 2 1 8194 1 64 1 0 0 date 2 2 9 0 0 2 1 1 1 64 1 "Jan 24 2003" 0 delta1 1 1 2000000 0 0 4 1 3 1 64 1 1985.55956679 0 delta 1 1 2000000 0 0 4 1 1 1 64 1 2635.74976324 0 deltaf 1 1 100 0 0 4 1 0 1 64 1 0.021650879567 0 dg1 2 2 1023 0 0 4 1 6 1 64 1 "1:DISPLAY:sp:1,wp:1,vs:0;1:REFERENCE:rfl:1,rfp:1,cr:1,delta:1;1:PHASE:lp:1,rp:1,rp1(ni):1,lp1(ni):1;2:CHART:sc:0,wc:0,hzmm:2,vp:0,axis,pltmod,,th:0,,ho:2,vo:2,,trace:2;3(ni):2D:sp1:1,wp1:1,sc2:0,wc2:0,rfl1:1,rfp1:1;3:FLAGS:aig*,dcg*,dmg*;3:FID:sf:3,wf:3,vf:0;4:INTEGRAL:intmod,is:2,ins:3,io:0,,lvl:3,tlt:3;" 0 dfrq3 1 1 1000000000 0 0 2 1 9 1 64 1 0 0 dfrq2 1 1 1000000000 0 0 2 1 9 1 64 1 125.6626405 0 dg 2 2 1023 0 0 4 1 6 1 64 1 "1:SAMPLE:sample,conc,pH,buffer,date,file,seqfil,gain,temp:0;1:ACQUISITION:sfrq:8,tn,at:3,np:0,sw:1,fb:0,bs:0,ss:0,tpwr(tpwr):0,pw:1,p1:1,d1:3,d2:3;2:DELAYS:tauNH:4,taufocNH:4,tauJ:4;2:15N CHANNEL 2:dfrq:8,dn,pwNlvl:0,pwN:1,dof:1,nt:0,ct:0;2:DECOUPLING:dm,dmm,dmf,dpwr(dpwr),dseq:0,dhp(dhp):0,dlp(dlp):0,homo;2: CARBON CHANNEL 3:C90lvl:0,C90:1,dfrq2:8,dn2:0,dmf2:0,dpwr2:0,dof2:1;2(ni):2D ACQUISITION:sw1:1,ni:0,phase:0;3:PROCESSING:cf(nf):0,lb:2,sb:3,sbs(sb):3,gf:3,gfs(gf):3,awc:3,lsfid:0,phfid:1,wtfile,proc,fn:0,math,,werr,wexp,wbs,wnt;4(ni):2D PROCESSING:lb1:2,sb1:3,sbs1(sb1):3,gf1:3,gfs1(gf1):3,awc1:3,wtfile1,proc1,fn1:0;4:FLAGS:il,in,dp,hs;4:SPECIAL:temp:1;4:GRADIENTS:gzlvl1:0,gt1:5,recover:5,quant1:3,se:0;" 0 dg2 2 2 8 0 0 4 1 4 1 64 6 "1:1st DECOUPLING:dfrq:3,dn,dpwr:0,dof:1,dm,dmm,dmf:0,dseq,dres:1,homo;" "2(numrfch>2):2nd DECOUPLING:dfrq2:3,dn2,dpwr2:0,dof2:1,dm2,dmm2,dmf2:0,dseq2,dres2:1,homo2;" "2(numrfch>3):3rd DECOUPLING:dfrq3:3,dn3,dpwr3:0,dof3:1,dseq3,dres3:1,homo3;" "3(ni2):3D ACQUISITION:d3:3,sw2:1,ni2:0,phase2:0;" "3(ni2):3D DISPLAY:rp2:1,lp2:1;" "4(ni2):3D PROCESSING:lb2:3,sb2:3,sbs2(sb2):3,gf2:3,gfs2(gf2):3,awc2:3,wtfile2,proc2,fn2:0;" 0 dpwr 7 1 9 9 9 2 1 8192 1 64 1 40 0 dmg1 2 2 8 0 0 4 1 0 1 64 1 "" 4 "" "av1" "ph1" "pwr1" dmf 7 1 11 11 11 2 1 8194 1 64 1 3125 0 dm 4 2 4 0 0 2 1 0 1 64 1 "ny" 4 "a" "n" "s" "y" dhp 1 1 9 9 9 2 1 8192 0 64 1 50 0 dgs 2 2 1023 0 0 4 1 6 1 64 5 "1:AXIAL SHIMS:z1:0,z2:0,z3:0,z4:0,z5:0,z6:0,z7:0;" "2:NON AXIAL SHIMS:x1:0,y1:0,xz:0,yz:0,xy:0,x2y2:0,x3:0,y3:0,xz2:0,yz2:0,zxy:0,zx2y2:0,z3x:0,z3y:0,z2x2y2:0,z2xy:0;" "3:NON AXIAL SHIMS:zx3:0,zy3:0,x4:0,y4:0,z4x:0,z4y:0,z3x2y2:0,z3xy:0,z2x3:0,z2y3:0,z3x3:0,z3y3:0,z4x2y2:0,z4xy:0,z5x:0,z5y:0;" "4:AUTOMATION:method,wshim,load,,spin:0,gain:0,alock,loc:0;" "4:SPECIAL:temp;" 0 dlp 7 1 10 10 10 2 1 8194 0 64 1 10 0 dm2 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" dm3 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" dmf3 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dmf2 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dmg 2 2 2 0 0 4 1 3 1 64 1 "ph" 0 dn2 2 2 8 0 0 2 1 8 1 64 1 "C13" 0 dmm2 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm 4 2 4 0 0 2 1 0 1 64 1 "cp" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm3 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dn 2 2 4 0 0 2 1 8 1 64 1 "N15" 0 dof2 5 1 16 16 16 2 1 8202 1 64 1 0 0 dod 3 1 14 14 14 2 1 8194 1 64 1 0.00999999977648 0 dn3 2 2 8 0 0 2 1 8 1 64 1 "" 0 dof 5 1 8 8 8 2 1 8202 1 64 1 1000 0 dotflag 2 2 8 0 0 4 1 0 1 64 1 "n" 2 "n" "y" dof3 5 1 20 20 20 2 1 8202 1 64 1 0 0 dp 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" dseq3 2 2 8 0 0 2 1 0 1 64 1 "" 0 dres2 7 1 360 1 0 2 1 0 1 64 1 1 0 dpwr3 7 1 21 21 21 2 1 8194 1 64 1 1 0 dpwr2 7 1 18 18 18 2 1 8194 1 64 1 1 0 dres 7 1 360 1 0 2 1 0 1 64 1 1 0 dseq 2 2 8 0 0 2 1 0 1 64 1 "garp1" 0 dres3 7 1 360 1 0 2 1 0 1 64 1 1 0 dseq2 2 2 8 0 0 2 1 0 1 64 1 "" 0 file 2 2 6 0 0 2 1 3 1 64 1 "exp" 0 f1coef 2 2 8 0 0 3 1 1 1 64 1 "" 0 exppath 2 2 8 0 0 2 1 0 1 64 1 "/export/home/vnmr1/vnmrsys/exp9994/acqfil" 0 fb 7 1 6 6 6 2 1 8203 1 64 1 3000 0 fn 7 1 524288 64 -2 3 1 1 1 64 1 2048 0 filter 7 1 1000000000 0 1 2 1 2 1 64 1 54.0999984741 0 fn1 7 1 524288 64 -2 3 1 1 1 64 1 1024 0 refpos1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 12.4447334812 0 n2 2 2 6 0 0 3 1 3 1 64 1 "" 0 lp 1 1 3600 -3600 0.1 4 1 3 1 64 1 0 0 ins 3 1 8190 0 1e-07 4 1 3 1 64 1 1 0 homo2 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" gfs1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0.0066968658939 0 gf 1 1 100000 0 0 3 1 1 1 64 1 0.0239691939205 0 gain 1 1 60 0 2 2 1 0 1 64 1 50 0 fpmult1 1 1 1000000000 0 0 3 1 0 0 64 1 0 0 gfs 1 1 1000 -1000 0.001 3 1 1 0 64 1 0.00120013055857 0 gf1 1 1 100000 0 0 3 1 1 1 64 1 0.0054169613868 0 ho 1 1 500 -500 0 4 1 1 1 64 1 -50.75 0 gzlvl1 7 1 32767 0 0 2 1 0 1 64 1 29000 0 gt1 3 1 14 14 14 2 1 8192 1 64 1 0.00045 0 homo 2 2 8 0 0 2 1 0 1 64 1 "n" 2 "n" "y" hst 3 1 0.02 0 2.5e-08 2 1 2 1 64 1 0.00800000037998 0 hs 4 2 4 0 0 2 1 0 1 64 1 "yn" 2 "n" "y" homo3 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" il 2 2 1 0 0 2 1 0 1 64 1 "n" 2 "y" "n" hzmm 1 1 1000000000 -1000000000 0 4 1 11 1 64 1 36.6678125358 0 in 4 2 1 0 0 2 1 0 1 64 1 "n" 3 "n" "w" "y" lb1 1 1 100000 -100000 0 3 1 1 0 64 1 569.122116015 0 irrfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 intmod 2 2 8 0 0 4 1 0 1 64 1 "off" 3 "off" "partial" "full" ins2ref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 ins2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 insref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 io 1 1 500 -500 0.1 4 1 1 1 64 1 10 0 investigator 2 2 8 0 0 2 1 0 1 64 1 "" 0 j 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 92 0 is 1 1 1000000000 1e-06 0 4 1 3 1 64 1 500 0 lb 1 1 100000 -100000 0 3 1 1 0 64 1 20 0 loc_ 7 1 12 12 12 2 1 8201 0 64 1 0 0 llamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 liamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 lcpeak_ 7 1 32767 0 0 2 1 0 1 64 1 0 0 lifrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 load 2 2 4 0 0 2 1 3 1 64 1 "n" 2 "y" "n" llfrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 lockphase_ 1 1 360 0 1 2 1 16 1 64 1 142 0 lockgain_ 1 1 48 0 1 2 1 16 1 64 1 44 0 lockfreq_ 5 1 160 0 1e-07 2 1 9 1 64 1 76.708 0 lockpower_ 1 1 68 0 1 2 1 16 1 64 1 46 0 lpopt1 2 2 8 0 0 3 1 0 1 64 1 "f" 0 lpext2 7 1 1000000 0 1 3 1 0 1 64 1 1 0 lpalg2 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lpalg 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lp1 1 1 3600 -3600 0.1 4 1 3 1 64 1 0 0 lpalg1 2 2 8 0 0 3 1 0 1 64 1 "lpfft" 0 lpext 7 1 1000000 0 1 3 1 0 1 64 1 1 0 lpext1 7 1 1000000 0 1 3 1 0 1 64 1 109 0 lpnupts 7 1 1000000 1 1 3 1 0 1 64 1 64 0 lpfilt1 7 1 32767 1 1 3 1 0 1 64 1 8 0 lpfilt 7 1 32767 1 1 3 1 0 1 64 1 32 0 lpfilt2 7 1 32767 1 1 3 1 0 1 64 1 32 0 lpnupts2 7 1 1000000 1 1 3 1 0 1 64 1 64 0 lpnupts1 7 1 1000000 1 1 3 1 0 1 64 1 18 0 lpopt 2 2 8 0 0 3 1 0 1 64 1 "b" 0 lsfid 7 1 64000 -64000 1 3 1 0 0 64 1 0 0 lpprint2 7 1 63 0 1 3 1 0 1 64 1 0 0 lpprint 7 1 63 0 1 3 1 0 1 64 1 0 0 lpopt2 2 2 8 0 0 3 1 0 1 64 1 "b" 0 lpprint1 7 1 63 0 1 3 1 0 1 64 1 0 0 lptrace1 7 1 32767 0 1 3 1 0 1 64 1 0 0 lptrace 7 1 32767 0 1 3 1 0 1 64 1 0 0 lptrace2 7 1 32767 0 1 3 1 0 1 64 1 0 0 macro 2 2 6 0 0 3 1 3 1 64 1 "" 0 lsfrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 lsfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 lvl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 0.101779636843 0 method 2 2 6 0 0 2 1 3 1 64 1 "/vnmr/shimmethods/allzs" 0 math 2 2 1 0 0 3 1 3 1 64 1 "i" 3 "d" "f" "i" n1 2 2 6 0 0 3 1 3 1 64 1 "" 0 pwN 6 1 13 13 13 2 1 8192 1 64 1 40 0 phfid 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 p1 6 1 13 13 13 2 1 8194 1 64 1 0 0 np 7 1 524288 32 2 2 1 11 1 64 1 2048 0 ni 7 1 32767 0 0 2 1 0 1 64 1 108 0 n3 2 2 6 0 0 3 1 3 1 64 1 "" 0 notebook 2 2 8 0 0 2 1 0 1 64 1 "" 0 nt 7 1 1000000000 1 1 2 1 2 1 64 1 96 0 page 2 2 8 0 0 2 1 0 1 64 1 "" 0 pH 2 2 8 0 0 2 1 0 1 64 1 "6.0" 0 pad 3 1 14 14 14 2 1 8194 1 64 1 0.5 0 parversion 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 4 1 64 1 5.1 0 parstyle_ 2 2 8 0 0 4 1 0 1 64 1 "ppa" 0 phase 7 1 32767 0 0 2 1 0 1 64 2 1 2 0 probe_ 2 2 8 0 0 2 1 8 1 64 1 "500_3047" 0 pmode 2 2 8 0 0 3 1 0 1 64 1 "full" 0 pkpick_ 2 2 8 0 0 4 1 0 1 64 1 "" 0 phfid1 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 pltmod 2 2 8 0 0 4 1 0 1 64 1 "off" 5 "off" "fixed" "full" "variable" "user" presat 3 1 14 14 14 2 1 8192 1 64 1 0 0 preacq 6 1 13 13 13 2 1 8192 0 64 1 0 0 priority 7 1 32768 0 1 2 1 0 1 64 1 5 0 procdim 7 1 1000000000 -1000000000 0 3 1 0 1 64 1 2 0 proc1 2 2 10 0 0 3 2 1 1 64 1 "ft" 0 proc 2 2 10 0 0 3 1 1 1 64 1 "ft" 0 pw 6 1 13 13 13 2 1 8194 1 64 1 5.05 0 pslabel 2 2 8 0 0 2 1 11 1 64 1 "pfg_hsqc_j_1" 0 pw90 6 1 13 13 13 2 1 8194 1 64 1 11.0999984741 0 r6 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r2 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 125 0 quant1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 9.95 0 pwNlvl 7 1 32767 0 0 2 1 0 1 64 1 60 0 r1 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 125 0 r4 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r3 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 r5 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 reffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 499.704219188 0 recover 3 1 14 14 14 2 1 8192 1 64 1 0.00015 0 r7 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 ref 5 1 1000000000 -1000000000 0 3 1 0 1 64 1 0 0 refpos 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 reffrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 499.70681134 0 vp 7 1 500 -500 0.1 4 1 3 1 64 1 0 0 strtlp2 7 1 1000000 1 1 3 1 0 1 64 1 2 0 sc2 1 1 3 3 3 4 1 8195 1 64 1 0 0 sb 1 1 1000 -1000 0.001 3 1 0 0 64 1 0 0 rof1 6 1 13 13 13 2 1 8194 1 64 1 10 0 rfl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 393.173939976 0 rfband 4 2 4 0 0 2 1 0 1 64 1 "cccc" 3 "c" "h" "l" refsource1 2 2 8 0 0 4 1 0 1 64 1 "sfrq" 0 rfp 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 0 0 rfl1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 1000 0 rfp1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 5969.08074149 0 sample 2 2 8 0 0 2 1 0 1 64 1 "15N_ttligsma" 0 rp 1 1 3600 -3600 0.1 4 1 3 1 64 1 -50.243902439 0 rof2 6 1 13 13 13 2 1 8194 1 64 1 0 0 rp1 1 1 3600 -3600 0.1 4 1 3 1 64 1 -1.4 0 satpwr 7 1 32767 0 0 2 1 0 1 64 1 8 0 samplename 2 2 8 0 0 2 1 0 1 64 1 "" 0 saveglobal_ 2 2 8 0 0 3 1 0 1 64 15 "probe" "lcpeak" "loc" "lockpower" "lockgain" "lockphase" "lockfreq" "z0" "lkof" "vloc" "vrack" "vzone" "vproto" "pkpick" "parstyle" 0 sbs1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sbs 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sb1 1 1 1000 -1000 0.001 3 1 1 0 64 1 0 0 sc 1 1 1 1 1 4 1 8195 1 64 1 0 0 sp1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 4969.08074149 0 shims 2 2 8 0 0 2 1 0 1 64 1 "" 0 sf 1 1 8190 0 1e-07 4 1 3 1 64 1 0 0 se 2 2 8 0 0 2 1 0 1 64 1 "n" 0 scalesw1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 9.86768601743 0 seqfil 2 2 8 0 0 2 1 11 1 64 1 "pfg_hsqc_j_1" 0 sfrq 1 1 1000000000 0 0 2 1 11 1 64 1 499.7065761 0 sf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 solvent 2 2 6 0 0 2 1 11 1 64 1 "d2o" 0 sn 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 sp 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 -393.173939976 0 ssntaps 7 1 2500 0 1 3 1 0 1 64 1 121 0 ssfilter 1 1 50000 10 0.1 3 1 0 1 64 1 100 0 ss 7 1 32767 -32768 0 2 1 0 1 64 1 4 0 spin 1 1 32000000 0 0.1 2 1 0 0 64 1 0 0 sslsfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 strtext1 7 1 1000000 1 1 3 1 0 1 64 1 19 0 sspul 2 2 8 0 0 2 1 0 1 64 1 "n" 0 ssorder 7 1 20 1 1 3 1 0 0 64 1 7 0 strtext 7 1 1000000 1 1 3 1 0 1 64 1 1 0 strtlp 7 1 1000000 1 1 3 1 0 1 64 1 2 0 strtext2 7 1 1000000 1 1 3 1 0 1 64 1 1 0 strtlp1 7 1 1000000 1 1 3 1 0 1 64 1 18 0 tin 2 2 1 0 0 2 1 2 1 64 1 "n" 3 "n" "w" "y" th2d 1 1 1 1e-18 0 4 1 17 1 64 1 0.8 0 tauNH 3 1 14 14 14 2 1 8192 1 64 1 0.0027 0 sw1 1 1 5000000 1 -1.25e-08 2 1 0 1 64 1 2000 0 sw 1 1 5 5 5 2 1 8203 1 64 1 5500.17188037 0 tauJ 3 1 14 14 14 2 1 8192 1 64 1 0.07 0 temp 1 1 200 -150 0.1 2 1 8 1 64 1 20 0 taufocNH 3 1 14 14 14 2 1 8192 1 64 1 0.0054 0 th 1 1 1000000000 0 0 4 1 1 1 64 1 4 0 time_submitted 2 2 8 0 0 2 1 0 1 64 1 "20030124T174106" 0 time_processed 2 2 8 0 0 3 1 0 1 64 1 "20030127T094212" 0 time_complete 2 2 8 0 0 2 1 0 1 64 1 "20030124T174106" 0 time_run 2 2 8 0 0 2 1 0 1 64 1 "20030124T174106" 0 time_svfdate 2 2 8 0 0 2 1 0 1 64 1 "20030124T174106" 0 time_submitted_local 2 2 8 0 0 2 1 0 1 64 1 "20030124T174106X05PM%%" 0 trimb 6 1 13 13 13 2 1 8192 1 64 1 100 0 tpwr 7 1 17 17 17 2 1 8194 1 64 1 56 0 tn 2 2 4 0 0 2 1 8 1 64 1 "H1" 0 tlt 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 -0.124042953757 0 tof 5 1 7 7 7 2 1 8202 1 64 1 -167 0 trim2 6 1 13 13 13 2 1 8192 1 64 1 0 0 trace 2 2 2 0 0 4 1 3 1 64 1 "f2" 2 "f1" "f2" trima 6 1 13 13 13 2 1 8192 1 64 1 50 0 vloc_ 2 2 8 0 0 2 1 8 1 64 1 "A0" 0 trimpwr 7 1 32767 0 0 2 1 0 1 64 1 45 0 trimc 6 1 13 13 13 2 1 8192 1 64 1 100 0 vf 1 1 1000000000 0 0 4 1 3 1 64 1 38352411762.7 0 vo 1 1 500 -500 0 4 1 1 1 64 1 0 0 xy 7 1 19 19 19 2 1 8192 1 64 1 -903 0 werr 2 2 6 0 0 3 1 3 1 64 1 "" 0 vsproj 1 1 1000000000 1e-06 0 4 1 3 1 64 1 24728.7319617 0 vproto_ 2 2 8 0 0 2 1 0 1 64 1 "" 0 vpf 1 1 500 -500 0 4 1 0 1 64 1 0 0 vpfi 1 1 500 -500 0 4 1 0 1 64 1 0 0 vs 1 1 1000000000 1e-06 0 4 1 3 1 64 1 69181.0701971 0 vrack_ 7 1 5 1 0 2 1 0 1 64 1 1 0 vs2d 1 1 1000000000 1e-06 0 4 1 3 1 64 1 100000 0 wbs 2 2 6 0 0 3 1 3 1 64 1 "" 0 vtwait 3 1 14 14 14 2 1 8194 1 64 1 300 0 vtc 1 1 255 0 1 2 1 2 1 64 1 25 0 vzone_ 7 1 15 1 0 2 1 0 1 64 1 1 0 wc2 1 1 780 100 1 4 1 3 1 64 1 150 0 wc 1 1 2 2 2 4 1 8203 1 64 1 150 0 wdone 2 2 8 0 0 3 1 0 1 64 1 "acqdone" 0 wshim 4 2 4 0 0 2 1 1 1 64 1 "n" 15 "e" "f" "g" "n" "s" "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" wf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0.256 0 wf 1 1 8190 0 1e-07 4 1 3 1 64 1 0.186176 0 wexp 2 2 6 0 0 3 1 3 1 64 1 "wft" 0 wp 1 1 5000000 0 0 4 1 11 1 64 1 5500.17188037 0 wnt 2 2 6 0 0 3 1 3 1 64 1 "" 0 wp1 1 1 100000 0 0 4 1 3 1 64 1 2000 0 x2y2 7 1 19 19 19 2 1 8192 1 64 1 594 0 wtfile1 2 2 15 0 0 3 2 1 1 64 1 "" 0 wtfile 2 2 15 0 0 3 1 1 1 64 1 "" 0 x1 7 1 19 19 19 2 1 8192 1 64 1 5966 0 x4 7 1 19 19 19 2 1 8192 1 64 1 -2610 0 x3 7 1 19 19 19 2 1 8192 1 64 1 -5330 0 xdiag 1 1 1e+18 0 0 4 1 17 1 64 1 0 0 z3y 7 1 19 19 19 2 1 8192 1 64 1 -13412 0 z2xy 7 1 19 19 19 2 1 8192 1 64 1 -4348 0 z0_ 1 1 19 19 19 2 1 8208 1 64 1 -12574 0 y3 7 1 19 19 19 2 1 8192 1 64 1 -4977 0 xz2 7 1 19 19 19 2 1 8192 1 64 1 23410 0 xz 7 1 19 19 19 2 1 8192 1 64 1 2538 0 y1 7 1 19 19 19 2 1 8192 1 64 1 5586 0 yz 7 1 19 19 19 2 1 8192 1 64 1 1111 0 y4 7 1 19 19 19 2 1 8192 1 64 1 2554 0 yz2 7 1 19 19 19 2 1 8192 1 64 1 13435 0 z2 7 1 19 19 19 2 1 8192 1 64 1 -2028 0 z1c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z1 7 1 19 19 19 2 1 8192 1 64 1 -7116 0 z2x2y2 7 1 19 19 19 2 1 8192 1 64 1 2566 0 z2c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2x3 7 1 19 19 19 2 1 8192 1 64 1 3909 0 z3x 7 1 19 19 19 2 1 8192 1 64 1 -11246 0 z3 7 1 19 19 19 2 1 8192 1 64 1 -15418 0 z2y3 7 1 19 19 19 2 1 8192 1 64 1 10976 0 z3c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3x3 7 1 19 19 19 2 1 8192 1 64 1 2067 0 z3x2y2 7 1 19 19 19 2 1 8192 1 64 1 -5481 0 z3xy 7 1 19 19 19 2 1 8192 1 64 1 -1208 0 z7 7 1 19 19 19 2 1 8192 1 64 1 -4237 0 z5 7 1 19 19 19 2 1 8192 1 64 1 5462 0 z4x 7 1 19 19 19 2 1 8192 1 64 1 -3891 0 z4 7 1 19 19 19 2 1 8192 1 64 1 -12768 0 z3y3 7 1 19 19 19 2 1 8192 1 64 1 -1838 0 z4c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4xy 7 1 19 19 19 2 1 8192 1 64 1 4346 0 z4x2y2 7 1 19 19 19 2 1 8192 1 64 1 -469 0 z4y 7 1 19 19 19 2 1 8192 1 64 1 -7021 0 z5y 7 1 19 19 19 2 1 8192 1 64 1 -6952 0 z5x 7 1 19 19 19 2 1 8192 1 64 1 -18245 0 z6 7 1 19 19 19 2 1 8192 1 64 1 -3672 0 zlvl1 7 1 32767 0 0 2 1 0 1 64 1 15000 0 zfilt1 3 1 14 14 14 2 1 8192 1 64 1 0.0002 0 z8 7 1 19 19 19 2 1 8192 1 64 1 0 0 zfilt2 3 1 14 14 14 2 1 8192 1 64 1 0.0001 0 zx2y2 7 1 19 19 19 2 1 8192 1 64 1 -9222 0 zlvl2 7 1 32767 0 0 2 1 0 1 64 1 15000 0 zxy 7 1 19 19 19 2 1 8192 1 64 1 -687 0 zx3 7 1 19 19 19 2 1 8192 1 64 1 2605 0 zy3 7 1 19 19 19 2 1 8192 1 64 1 11797 0